High Quality Test Pattern Generation and Boolean Satisfiability

High Quality Test Pattern Generation and Boolean Satisfiability

Author: Stephan Eggersglüß

Publisher: Springer Science & Business Media

Published: 2012-02-01

Total Pages: 208

ISBN-13: 1441999760

DOWNLOAD EBOOK

This book provides an overview of automatic test pattern generation (ATPG) and introduces novel techniques to complement classical ATPG, based on Boolean Satisfiability (SAT). A fast and highly fault efficient SAT-based ATPG framework is presented which is also able to generate high-quality delay tests such as robust path delay tests, as well as tests with long propagation paths to detect small delay defects. The aim of the techniques and methodologies presented in this book is to improve SAT-based ATPG, in order to make it applicable in industrial practice. Readers will learn to improve the performance and robustness of the overall test generation process, so that the ATPG algorithm reliably will generate test patterns for most targeted faults in acceptable run time to meet the high fault coverage demands of industry. The techniques and improvements presented in this book provide the following advantages: Provides a comprehensive introduction to test generation and Boolean Satisfiability (SAT); Describes a highly fault efficient SAT-based ATPG framework; Introduces circuit-oriented SAT solving techniques, which make use of structural information and are able to accelerate the search process significantly; Provides SAT formulations for the prevalent delay faults models, in addition to the classical stuck-at fault model; Includes an industrial perspective on the state-of-the-art in the testing, along with SAT; two topics typically distinguished from each other.


Book Synopsis High Quality Test Pattern Generation and Boolean Satisfiability by : Stephan Eggersglüß

Download or read book High Quality Test Pattern Generation and Boolean Satisfiability written by Stephan Eggersglüß and published by Springer Science & Business Media. This book was released on 2012-02-01 with total page 208 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book provides an overview of automatic test pattern generation (ATPG) and introduces novel techniques to complement classical ATPG, based on Boolean Satisfiability (SAT). A fast and highly fault efficient SAT-based ATPG framework is presented which is also able to generate high-quality delay tests such as robust path delay tests, as well as tests with long propagation paths to detect small delay defects. The aim of the techniques and methodologies presented in this book is to improve SAT-based ATPG, in order to make it applicable in industrial practice. Readers will learn to improve the performance and robustness of the overall test generation process, so that the ATPG algorithm reliably will generate test patterns for most targeted faults in acceptable run time to meet the high fault coverage demands of industry. The techniques and improvements presented in this book provide the following advantages: Provides a comprehensive introduction to test generation and Boolean Satisfiability (SAT); Describes a highly fault efficient SAT-based ATPG framework; Introduces circuit-oriented SAT solving techniques, which make use of structural information and are able to accelerate the search process significantly; Provides SAT formulations for the prevalent delay faults models, in addition to the classical stuck-at fault model; Includes an industrial perspective on the state-of-the-art in the testing, along with SAT; two topics typically distinguished from each other.


Test Pattern Generation using Boolean Proof Engines

Test Pattern Generation using Boolean Proof Engines

Author: Rolf Drechsler

Publisher: Springer Science & Business Media

Published: 2009-04-22

Total Pages: 196

ISBN-13: 9048123607

DOWNLOAD EBOOK

In Test Pattern Generation using Boolean Proof Engines, we give an introduction to ATPG. The basic concept and classical ATPG algorithms are reviewed. Then, the formulation as a SAT problem is considered. As the underlying engine, modern SAT solvers and their use on circuit related problems are comprehensively discussed. Advanced techniques for SAT-based ATPG are introduced and evaluated in the context of an industrial environment. The chapters of the book cover efficient instance generation, encoding of multiple-valued logic, usage of various fault models, and detailed experiments on multi-million gate designs. The book describes the state of the art in the field, highlights research aspects, and shows directions for future work.


Book Synopsis Test Pattern Generation using Boolean Proof Engines by : Rolf Drechsler

Download or read book Test Pattern Generation using Boolean Proof Engines written by Rolf Drechsler and published by Springer Science & Business Media. This book was released on 2009-04-22 with total page 196 pages. Available in PDF, EPUB and Kindle. Book excerpt: In Test Pattern Generation using Boolean Proof Engines, we give an introduction to ATPG. The basic concept and classical ATPG algorithms are reviewed. Then, the formulation as a SAT problem is considered. As the underlying engine, modern SAT solvers and their use on circuit related problems are comprehensively discussed. Advanced techniques for SAT-based ATPG are introduced and evaluated in the context of an industrial environment. The chapters of the book cover efficient instance generation, encoding of multiple-valued logic, usage of various fault models, and detailed experiments on multi-million gate designs. The book describes the state of the art in the field, highlights research aspects, and shows directions for future work.


Formal Modeling and Verification of Cyber-Physical Systems

Formal Modeling and Verification of Cyber-Physical Systems

Author: Rolf Drechsler

Publisher: Springer

Published: 2015-06-05

Total Pages: 313

ISBN-13: 3658099941

DOWNLOAD EBOOK

This book presents the lecture notes of the 1st Summer School on Methods and Tools for the Design of Digital Systems, 2015, held in Bremen, Germany. The topic of the summer school was devoted to modeling and verification of cyber-physical systems. This covers several aspects of the field, including hybrid systems and model checking, as well as applications in robotics and aerospace systems. The main chapters have been written by leading scientists, who present their field of research, each providing references to introductory material as well as latest scientific advances and future research directions. This is complemented by short papers submitted by the participating PhD students.


Book Synopsis Formal Modeling and Verification of Cyber-Physical Systems by : Rolf Drechsler

Download or read book Formal Modeling and Verification of Cyber-Physical Systems written by Rolf Drechsler and published by Springer. This book was released on 2015-06-05 with total page 313 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book presents the lecture notes of the 1st Summer School on Methods and Tools for the Design of Digital Systems, 2015, held in Bremen, Germany. The topic of the summer school was devoted to modeling and verification of cyber-physical systems. This covers several aspects of the field, including hybrid systems and model checking, as well as applications in robotics and aerospace systems. The main chapters have been written by leading scientists, who present their field of research, each providing references to introductory material as well as latest scientific advances and future research directions. This is complemented by short papers submitted by the participating PhD students.


The Hardware Trojan War

The Hardware Trojan War

Author: Swarup Bhunia

Publisher: Springer

Published: 2017-11-29

Total Pages: 389

ISBN-13: 3319685112

DOWNLOAD EBOOK

This book, for the first time, provides comprehensive coverage on malicious modification of electronic hardware, also known as, hardware Trojan attacks, highlighting the evolution of the threat, different attack modalities, the challenges, and diverse array of defense approaches. It debunks the myths associated with hardware Trojan attacks and presents practical attack space in the scope of current business models and practices. It covers the threat of hardware Trojan attacks for all attack surfaces; presents attack models, types and scenarios; discusses trust metrics; presents different forms of protection approaches – both proactive and reactive; provides insight on current industrial practices; and finally, describes emerging attack modes, defenses and future research pathways.


Book Synopsis The Hardware Trojan War by : Swarup Bhunia

Download or read book The Hardware Trojan War written by Swarup Bhunia and published by Springer. This book was released on 2017-11-29 with total page 389 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book, for the first time, provides comprehensive coverage on malicious modification of electronic hardware, also known as, hardware Trojan attacks, highlighting the evolution of the threat, different attack modalities, the challenges, and diverse array of defense approaches. It debunks the myths associated with hardware Trojan attacks and presents practical attack space in the scope of current business models and practices. It covers the threat of hardware Trojan attacks for all attack surfaces; presents attack models, types and scenarios; discusses trust metrics; presents different forms of protection approaches – both proactive and reactive; provides insight on current industrial practices; and finally, describes emerging attack modes, defenses and future research pathways.


Design Automation Techniques for Approximation Circuits

Design Automation Techniques for Approximation Circuits

Author: Arun Chandrasekharan

Publisher: Springer

Published: 2018-10-10

Total Pages: 130

ISBN-13: 3319989650

DOWNLOAD EBOOK

This book describes reliable and efficient design automation techniques for the design and implementation of an approximate computing system. The authors address the important facets of approximate computing hardware design - from formal verification and error guarantees to synthesis and test of approximation systems. They provide algorithms and methodologies based on classical formal verification, synthesis and test techniques for an approximate computing IC design flow. This is one of the first books in Approximate Computing that addresses the design automation aspects, aiming for not only sketching the possibility, but providing a comprehensive overview of different tasks and especially how they can be implemented.


Book Synopsis Design Automation Techniques for Approximation Circuits by : Arun Chandrasekharan

Download or read book Design Automation Techniques for Approximation Circuits written by Arun Chandrasekharan and published by Springer. This book was released on 2018-10-10 with total page 130 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book describes reliable and efficient design automation techniques for the design and implementation of an approximate computing system. The authors address the important facets of approximate computing hardware design - from formal verification and error guarantees to synthesis and test of approximation systems. They provide algorithms and methodologies based on classical formal verification, synthesis and test techniques for an approximate computing IC design flow. This is one of the first books in Approximate Computing that addresses the design automation aspects, aiming for not only sketching the possibility, but providing a comprehensive overview of different tasks and especially how they can be implemented.


Cryptographic Hardware and Embedded Systems -- CHES 2015

Cryptographic Hardware and Embedded Systems -- CHES 2015

Author: Tim Güneysu

Publisher: Springer

Published: 2015-08-31

Total Pages: 705

ISBN-13: 3662483246

DOWNLOAD EBOOK

This book constitutes the refereed proceedings of the 17th International Workshop on Cryptographic Hardware and Embedded Systems, CHES 2015, held in Saint Malo, France, in September 2015. The 34 full papers included in this volume were carefully reviewed and selected from 128 submissions. They are organized in the following topical sections: processing techniques in side-channel analysis; cryptographic hardware implementations; homomorphic encryption in hardware; side-channel attacks on public key cryptography; cipher design and cryptanalysis; true random number generators and entropy estimations; side-channel analysis and fault injection attacks; higher-order side-channel attacks; physically unclonable functions and hardware trojans; side-channel attacks in practice; and lattice-based implementations.


Book Synopsis Cryptographic Hardware and Embedded Systems -- CHES 2015 by : Tim Güneysu

Download or read book Cryptographic Hardware and Embedded Systems -- CHES 2015 written by Tim Güneysu and published by Springer. This book was released on 2015-08-31 with total page 705 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book constitutes the refereed proceedings of the 17th International Workshop on Cryptographic Hardware and Embedded Systems, CHES 2015, held in Saint Malo, France, in September 2015. The 34 full papers included in this volume were carefully reviewed and selected from 128 submissions. They are organized in the following topical sections: processing techniques in side-channel analysis; cryptographic hardware implementations; homomorphic encryption in hardware; side-channel attacks on public key cryptography; cipher design and cryptanalysis; true random number generators and entropy estimations; side-channel analysis and fault injection attacks; higher-order side-channel attacks; physically unclonable functions and hardware trojans; side-channel attacks in practice; and lattice-based implementations.


Practical Aspects of Declarative Languages

Practical Aspects of Declarative Languages

Author: Marco Gavanelli

Publisher: Springer

Published: 2016-01-08

Total Pages: 193

ISBN-13: 331928228X

DOWNLOAD EBOOK

This book constitutes the refereed proceedings of the 18th International Symposium on Practical Aspects of Declarative Languages, PADL 2016, held in St. Petersburg, FL, USA, in January 2016. The 11 revised papers presented were carefully reviewed and selected from 17 initial submissions for inclusion in the book. PADL is a forum for researchers and practitioners to present original work emphasizing novel applications and implementation techniques for all forms of declarative concepts, including, functional, logic, constraints, etc.


Book Synopsis Practical Aspects of Declarative Languages by : Marco Gavanelli

Download or read book Practical Aspects of Declarative Languages written by Marco Gavanelli and published by Springer. This book was released on 2016-01-08 with total page 193 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book constitutes the refereed proceedings of the 18th International Symposium on Practical Aspects of Declarative Languages, PADL 2016, held in St. Petersburg, FL, USA, in January 2016. The 11 revised papers presented were carefully reviewed and selected from 17 initial submissions for inclusion in the book. PADL is a forum for researchers and practitioners to present original work emphasizing novel applications and implementation techniques for all forms of declarative concepts, including, functional, logic, constraints, etc.


The Satisfiability Problem

The Satisfiability Problem

Author: Schöning, Uwe

Publisher: Lehmanns Media

Published: 2013-01-01

Total Pages: 184

ISBN-13: 3865416489

DOWNLOAD EBOOK

The satisfiability problem of propositional logic, SAT for short, is the first algorithmic problem that was shown to be NP-complete, and is the cornerstone of virtually all NP-completeness proofs. The SAT problem consists of deciding whether a given Boolean formula has a “solution”, in the sense of an assignment to the variables making the entire formula to evaluate to true. Over the last few years very powerful algorithms have been devised being able to solve SAT problems with hundreds of thousands of variables. For difficult (or randomly generated) formulas these algorithms can be compared to the proverbial search for the needle in a haystack. This book explains how such algorithms work, for example, by exploiting the structure of the SAT problem with an appropriate logical calculus, like resolution. But also algorithms based on “physical” principles are considered. I was delighted to see how nicely the authors were able to cover such a variety of topics with elegance. I cannot resist saying that the introduction to SAT on page 9 is absolutely the best I ever expect to see in any book! Donald E. Knuth, Stanford University This book gives lucid descriptions of algorithms for SAT that are better than you would think! A must-read for anyone in theory. William Gasarch, University of Maryland It was a wonderful surprise to see a deep mathematical analysis of important algorithms for SAT presented so clearly and concisely. This is an excellent introductory book for studying the foundations of constraint satisfaction. Osamu Watanabe, Tokyo Institute of Technology


Book Synopsis The Satisfiability Problem by : Schöning, Uwe

Download or read book The Satisfiability Problem written by Schöning, Uwe and published by Lehmanns Media. This book was released on 2013-01-01 with total page 184 pages. Available in PDF, EPUB and Kindle. Book excerpt: The satisfiability problem of propositional logic, SAT for short, is the first algorithmic problem that was shown to be NP-complete, and is the cornerstone of virtually all NP-completeness proofs. The SAT problem consists of deciding whether a given Boolean formula has a “solution”, in the sense of an assignment to the variables making the entire formula to evaluate to true. Over the last few years very powerful algorithms have been devised being able to solve SAT problems with hundreds of thousands of variables. For difficult (or randomly generated) formulas these algorithms can be compared to the proverbial search for the needle in a haystack. This book explains how such algorithms work, for example, by exploiting the structure of the SAT problem with an appropriate logical calculus, like resolution. But also algorithms based on “physical” principles are considered. I was delighted to see how nicely the authors were able to cover such a variety of topics with elegance. I cannot resist saying that the introduction to SAT on page 9 is absolutely the best I ever expect to see in any book! Donald E. Knuth, Stanford University This book gives lucid descriptions of algorithms for SAT that are better than you would think! A must-read for anyone in theory. William Gasarch, University of Maryland It was a wonderful surprise to see a deep mathematical analysis of important algorithms for SAT presented so clearly and concisely. This is an excellent introductory book for studying the foundations of constraint satisfaction. Osamu Watanabe, Tokyo Institute of Technology


Design for Testability, Debug and Reliability

Design for Testability, Debug and Reliability

Author: Sebastian Huhn

Publisher: Springer Nature

Published: 2021-04-19

Total Pages: 164

ISBN-13: 3030692094

DOWNLOAD EBOOK

This book introduces several novel approaches to pave the way for the next generation of integrated circuits, which can be successfully and reliably integrated, even in safety-critical applications. The authors describe new measures to address the rising challenges in the field of design for testability, debug, and reliability, as strictly required for state-of-the-art circuit designs. In particular, this book combines formal techniques, such as the Satisfiability (SAT) problem and the Bounded Model Checking (BMC), to address the arising challenges concerning the increase in test data volume, as well as test application time and the required reliability. All methods are discussed in detail and evaluated extensively, while considering industry-relevant benchmark candidates. All measures have been integrated into a common framework, which implements standardized software/hardware interfaces.


Book Synopsis Design for Testability, Debug and Reliability by : Sebastian Huhn

Download or read book Design for Testability, Debug and Reliability written by Sebastian Huhn and published by Springer Nature. This book was released on 2021-04-19 with total page 164 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book introduces several novel approaches to pave the way for the next generation of integrated circuits, which can be successfully and reliably integrated, even in safety-critical applications. The authors describe new measures to address the rising challenges in the field of design for testability, debug, and reliability, as strictly required for state-of-the-art circuit designs. In particular, this book combines formal techniques, such as the Satisfiability (SAT) problem and the Bounded Model Checking (BMC), to address the arising challenges concerning the increase in test data volume, as well as test application time and the required reliability. All methods are discussed in detail and evaluated extensively, while considering industry-relevant benchmark candidates. All measures have been integrated into a common framework, which implements standardized software/hardware interfaces.


Logic Programming and Nonmonotonic Reasoning

Logic Programming and Nonmonotonic Reasoning

Author: Pedro Cabalar

Publisher: Springer

Published: 2013-09-12

Total Pages: 587

ISBN-13: 3642405649

DOWNLOAD EBOOK

This volume contains the refereed proceedings of the 12th International Conference on Logic Programming and Nonmonotonic Reasoning, LPNMR 2013, held in September 2013 in Corunna, Spain. The 34 revised full papers (22 technical papers, 9 application description, and 3 system descriptions) and 19 short papers (11 technical papers, 3 application descriptions, and 5 system descriptions) presented together with 2 invited talks, were carefully reviewed and selected from 91 submissions. Being a forum for exchanging ideas on declarative logic programming, nonmonotonic reasoning, and knowledge representation, the conference aims to facilitate interactions between those researchers and practitioners interested in the design and implementation of logic-based programming languages and database systems, and those who work in the area of knowledge representation and nonmonotonic reasoning.


Book Synopsis Logic Programming and Nonmonotonic Reasoning by : Pedro Cabalar

Download or read book Logic Programming and Nonmonotonic Reasoning written by Pedro Cabalar and published by Springer. This book was released on 2013-09-12 with total page 587 pages. Available in PDF, EPUB and Kindle. Book excerpt: This volume contains the refereed proceedings of the 12th International Conference on Logic Programming and Nonmonotonic Reasoning, LPNMR 2013, held in September 2013 in Corunna, Spain. The 34 revised full papers (22 technical papers, 9 application description, and 3 system descriptions) and 19 short papers (11 technical papers, 3 application descriptions, and 5 system descriptions) presented together with 2 invited talks, were carefully reviewed and selected from 91 submissions. Being a forum for exchanging ideas on declarative logic programming, nonmonotonic reasoning, and knowledge representation, the conference aims to facilitate interactions between those researchers and practitioners interested in the design and implementation of logic-based programming languages and database systems, and those who work in the area of knowledge representation and nonmonotonic reasoning.