Test and Diagnosis for Small-Delay Defects

Test and Diagnosis for Small-Delay Defects

Author: Mohammad Tehranipoor

Publisher: Springer Science & Business Media

Published: 2011-09-08

Total Pages: 228

ISBN-13: 1441982973

DOWNLOAD EBOOK

This book will introduce new techniques for detecting and diagnosing small-delay defects in integrated circuits. Although this sort of timing defect is commonly found in integrated circuits manufactured with nanometer technology, this will be the first book to introduce effective and scalable methodologies for screening and diagnosing small-delay defects, including important parameters such as process variations, crosstalk, and power supply noise.


Book Synopsis Test and Diagnosis for Small-Delay Defects by : Mohammad Tehranipoor

Download or read book Test and Diagnosis for Small-Delay Defects written by Mohammad Tehranipoor and published by Springer Science & Business Media. This book was released on 2011-09-08 with total page 228 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book will introduce new techniques for detecting and diagnosing small-delay defects in integrated circuits. Although this sort of timing defect is commonly found in integrated circuits manufactured with nanometer technology, this will be the first book to introduce effective and scalable methodologies for screening and diagnosing small-delay defects, including important parameters such as process variations, crosstalk, and power supply noise.


High-quality Test and Diagnosis for Small-delay Defects

High-quality Test and Diagnosis for Small-delay Defects

Author: Ke Peng

Publisher:

Published: 2010

Total Pages: 426

ISBN-13:

DOWNLOAD EBOOK


Book Synopsis High-quality Test and Diagnosis for Small-delay Defects by : Ke Peng

Download or read book High-quality Test and Diagnosis for Small-delay Defects written by Ke Peng and published by . This book was released on 2010 with total page 426 pages. Available in PDF, EPUB and Kindle. Book excerpt:


Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits

Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits

Author: Sandeep K. Goel

Publisher: CRC Press

Published: 2017-12-19

Total Pages: 266

ISBN-13: 1351833707

DOWNLOAD EBOOK

Advances in design methods and process technologies have resulted in a continuous increase in the complexity of integrated circuits (ICs). However, the increased complexity and nanometer-size features of modern ICs make them susceptible to manufacturing defects, as well as performance and quality issues. Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits covers common problems in areas such as process variations, power supply noise, crosstalk, resistive opens/bridges, and design-for-manufacturing (DfM)-related rule violations. The book also addresses testing for small-delay defects (SDDs), which can cause immediate timing failures on both critical and non-critical paths in the circuit. Overviews semiconductor industry test challenges and the need for SDD testing, including basic concepts and introductory material Describes algorithmic solutions incorporated in commercial tools from Mentor Graphics Reviews SDD testing based on "alternative methods" that explores new metrics, top-off ATPG, and circuit topology-based solutions Highlights the advantages and disadvantages of a diverse set of metrics, and identifies scope for improvement Written from the triple viewpoint of university researchers, EDA tool developers, and chip designers and tool users, this book is the first of its kind to address all aspects of SDD testing from such a diverse perspective. The book is designed as a one-stop reference for current industrial practices, research challenges in the domain of SDD testing, and recent developments in SDD solutions.


Book Synopsis Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits by : Sandeep K. Goel

Download or read book Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits written by Sandeep K. Goel and published by CRC Press. This book was released on 2017-12-19 with total page 266 pages. Available in PDF, EPUB and Kindle. Book excerpt: Advances in design methods and process technologies have resulted in a continuous increase in the complexity of integrated circuits (ICs). However, the increased complexity and nanometer-size features of modern ICs make them susceptible to manufacturing defects, as well as performance and quality issues. Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits covers common problems in areas such as process variations, power supply noise, crosstalk, resistive opens/bridges, and design-for-manufacturing (DfM)-related rule violations. The book also addresses testing for small-delay defects (SDDs), which can cause immediate timing failures on both critical and non-critical paths in the circuit. Overviews semiconductor industry test challenges and the need for SDD testing, including basic concepts and introductory material Describes algorithmic solutions incorporated in commercial tools from Mentor Graphics Reviews SDD testing based on "alternative methods" that explores new metrics, top-off ATPG, and circuit topology-based solutions Highlights the advantages and disadvantages of a diverse set of metrics, and identifies scope for improvement Written from the triple viewpoint of university researchers, EDA tool developers, and chip designers and tool users, this book is the first of its kind to address all aspects of SDD testing from such a diverse perspective. The book is designed as a one-stop reference for current industrial practices, research challenges in the domain of SDD testing, and recent developments in SDD solutions.


Methodologies for Test and Diagnosis of Delay Defects in Integrated Circuits

Methodologies for Test and Diagnosis of Delay Defects in Integrated Circuits

Author: Ahish Mysore Somashekar

Publisher:

Published: 2015

Total Pages: 208

ISBN-13:

DOWNLOAD EBOOK

The failure of devices due to timing-related defects is becoming increasingly prominent in the nanometer era, thereby causing quality and reliability concerns. The variations in physical parameters and the increasing influence of environmental factors are the potential sources of such timing-related defects. In this dissertation we present novel techniques for detection and diagnosis of such timing-related defects, in particular small delay defects, in modern integrated circuits. First, an approach capable of identifying the locations of distributed small delay defects, arising due to manufacturing aberrations, is proposed. It is shown that the proposed formulation can be transformed into a Boolean Satisfiability form to be solved by any SAT solver. The approach is capable of providing a small number of alternative sets of defective segments. One of the solutions is the actual defect configuration. This is shown to be a very important property towards the effective identification of the defective segments. Experimental analysis on ISCAS and ITC benchmark suites show that the proposed approach is highly scalable and identifies the location of multiple delay defects. Second, a Monte Carlo based approach is proposed capable of identifying in a path-implicit and scalable manner the distributions that describe the delay of every path in a combinational circuit. Furthermore, a scalable approach to select critical paths from a potentially exponential number of path candidates is presented. Paths and their delay distributions are stored in Zero Suppressed Binary Decision Diagrams. Experimental results on some of the largest ISCAS-89 and ITC-99 benchmarks shows that the proposed method is highly scalable and effective. Lastly, an approach to select a set of longest (highest critical) paths under a probabilistic delay model is presented. It is shown how to select a set of top critical paths that need to be tested for a given test margin and subsequently, it is shown how one can select critical paths to effectively test a device for small delay defects that may occur due to undesirable process shifts in different pockets of the device. Experimental analysis compares the proposed approach to recent approaches in the literature that claim to select critical paths for testing and merits both based on their effectiveness in detecting random delay defects in the device under test.


Book Synopsis Methodologies for Test and Diagnosis of Delay Defects in Integrated Circuits by : Ahish Mysore Somashekar

Download or read book Methodologies for Test and Diagnosis of Delay Defects in Integrated Circuits written by Ahish Mysore Somashekar and published by . This book was released on 2015 with total page 208 pages. Available in PDF, EPUB and Kindle. Book excerpt: The failure of devices due to timing-related defects is becoming increasingly prominent in the nanometer era, thereby causing quality and reliability concerns. The variations in physical parameters and the increasing influence of environmental factors are the potential sources of such timing-related defects. In this dissertation we present novel techniques for detection and diagnosis of such timing-related defects, in particular small delay defects, in modern integrated circuits. First, an approach capable of identifying the locations of distributed small delay defects, arising due to manufacturing aberrations, is proposed. It is shown that the proposed formulation can be transformed into a Boolean Satisfiability form to be solved by any SAT solver. The approach is capable of providing a small number of alternative sets of defective segments. One of the solutions is the actual defect configuration. This is shown to be a very important property towards the effective identification of the defective segments. Experimental analysis on ISCAS and ITC benchmark suites show that the proposed approach is highly scalable and identifies the location of multiple delay defects. Second, a Monte Carlo based approach is proposed capable of identifying in a path-implicit and scalable manner the distributions that describe the delay of every path in a combinational circuit. Furthermore, a scalable approach to select critical paths from a potentially exponential number of path candidates is presented. Paths and their delay distributions are stored in Zero Suppressed Binary Decision Diagrams. Experimental results on some of the largest ISCAS-89 and ITC-99 benchmarks shows that the proposed method is highly scalable and effective. Lastly, an approach to select a set of longest (highest critical) paths under a probabilistic delay model is presented. It is shown how to select a set of top critical paths that need to be tested for a given test margin and subsequently, it is shown how one can select critical paths to effectively test a device for small delay defects that may occur due to undesirable process shifts in different pockets of the device. Experimental analysis compares the proposed approach to recent approaches in the literature that claim to select critical paths for testing and merits both based on their effectiveness in detecting random delay defects in the device under test.


High Quality Transition and Small Delay Fault ATPG

High Quality Transition and Small Delay Fault ATPG

Author:

Publisher:

Published: 2004

Total Pages:

ISBN-13:

DOWNLOAD EBOOK

Path selection and generating tests for small delay faults is an important issue in the delay fault area. A novel technique for generating effective vectors for delay defects is the first issue that we have presented in the thesis. The test set achieves high path delay fault coverage to capture small-distributed delay defects and high transition fault coverage to capture gross delay defects. Furthermore, non-robust paths for ATPG are filtered (selected) carefully so that there is a minimum overlap with the already tested robust paths. A relationship between path delay fault model and transition fault model has been observed which helps us reduce the number of non-robust paths considered for test generation. To generate tests for robust and non-robust paths, a deterministic ATPG engine is developed. To deal with small delay faults, we have proposed a new transition fault model called As late As Possible Transition Fault (ALAPTF) Model. The model aims at detecting smaller delays, which will be missed by both the traditional transition fault model and the path delay model. The model makes sure that each transition is launched as late as possible at the fault site, accumulating the small delay defects along its way. Because some transition faults may require multiple paths to be launched, simple path-delay model will miss such faults.


Book Synopsis High Quality Transition and Small Delay Fault ATPG by :

Download or read book High Quality Transition and Small Delay Fault ATPG written by and published by . This book was released on 2004 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Path selection and generating tests for small delay faults is an important issue in the delay fault area. A novel technique for generating effective vectors for delay defects is the first issue that we have presented in the thesis. The test set achieves high path delay fault coverage to capture small-distributed delay defects and high transition fault coverage to capture gross delay defects. Furthermore, non-robust paths for ATPG are filtered (selected) carefully so that there is a minimum overlap with the already tested robust paths. A relationship between path delay fault model and transition fault model has been observed which helps us reduce the number of non-robust paths considered for test generation. To generate tests for robust and non-robust paths, a deterministic ATPG engine is developed. To deal with small delay faults, we have proposed a new transition fault model called As late As Possible Transition Fault (ALAPTF) Model. The model aims at detecting smaller delays, which will be missed by both the traditional transition fault model and the path delay model. The model makes sure that each transition is launched as late as possible at the fault site, accumulating the small delay defects along its way. Because some transition faults may require multiple paths to be launched, simple path-delay model will miss such faults.


Built-in Self Test (BIST) for Realistic Delay Defects

Built-in Self Test (BIST) for Realistic Delay Defects

Author: Karthik Prabhu Tamilarasan

Publisher:

Published: 2012

Total Pages:

ISBN-13:

DOWNLOAD EBOOK

Testing of delay defects is necessary in deep submicron (DSM) technologies. High coverage delay tests produced by automatic test pattern generation (ATPG) can be applied during wafer and package tests, but are difficult to apply during the board test, due to limited chip access. Delay testing at the board level is increasingly important to diagnose failures caused by supply noise or temperature in the board environment. An alternative to ATPG is the built-in self test (BIST). In combination with the insertion of test points, BIST is able to achieve high coverage of stuck-at and transition faults. The quality of BIST patterns on small delay defects is an open question. In this work we analyze the application of BIST to small delay defects using resistive short and open models in order to estimate the coverage and correlate the coverage to traditional delay fault models.


Book Synopsis Built-in Self Test (BIST) for Realistic Delay Defects by : Karthik Prabhu Tamilarasan

Download or read book Built-in Self Test (BIST) for Realistic Delay Defects written by Karthik Prabhu Tamilarasan and published by . This book was released on 2012 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: Testing of delay defects is necessary in deep submicron (DSM) technologies. High coverage delay tests produced by automatic test pattern generation (ATPG) can be applied during wafer and package tests, but are difficult to apply during the board test, due to limited chip access. Delay testing at the board level is increasingly important to diagnose failures caused by supply noise or temperature in the board environment. An alternative to ATPG is the built-in self test (BIST). In combination with the insertion of test points, BIST is able to achieve high coverage of stuck-at and transition faults. The quality of BIST patterns on small delay defects is an open question. In this work we analyze the application of BIST to small delay defects using resistive short and open models in order to estimate the coverage and correlate the coverage to traditional delay fault models.


A Novel Test Generation Method for Small-Delay Defects with User-defined Fault Model

A Novel Test Generation Method for Small-Delay Defects with User-defined Fault Model

Author: 商朝鈞

Publisher:

Published: 2019

Total Pages: 35

ISBN-13:

DOWNLOAD EBOOK


Book Synopsis A Novel Test Generation Method for Small-Delay Defects with User-defined Fault Model by : 商朝鈞

Download or read book A Novel Test Generation Method for Small-Delay Defects with User-defined Fault Model written by 商朝鈞 and published by . This book was released on 2019 with total page 35 pages. Available in PDF, EPUB and Kindle. Book excerpt:


Testing for Delay Defects Utilizing Test Data Compression Techniques

Testing for Delay Defects Utilizing Test Data Compression Techniques

Author: Richard Dean Putman

Publisher:

Published: 2008

Total Pages: 164

ISBN-13:

DOWNLOAD EBOOK

As technology shrinks new types of defects are being discovered and new fault models are being created for those defects. Transition delay and path delay fault models are two such models that have been created, but they still fall short in that they are unable to obtain a high test coverage of smaller delay defects; these defects can cause functional behavior to fail and also indicate potential reliability issues. The first part of this dissertation addresses these problems by presenting an enhanced timing-based delay fault testing technique that incorporates the use of standard delay ATPG, along with timing information gathered from standard static timing analysis. Utilizing delay fault patterns typically increases the test data volume by 3-5X when compared to stuck-at patterns. Combined with the increase in test data volume associated with the increase in gate count that typically accompanies the miniaturization of technology, this adds up to a very large increase in test data volume that directly affect test time and thus the manufacturing cost. The second part of this dissertation presents a technique for improving test compression and reducing test data volume by using multiple expansion ratios while determining the configuration of the scan chains for each of the expansion ratios using a dependency analysis procedure that accounts for structural dependencies as well as free variable dependencies to improve the probability of detecting faults. Finally, this dissertation addresses the problem of unknown values (X's) in the output response data corrupting the data and degrading the performance of the output response compactor and thus the overall amount of test compression. Four techniques are presented that focus on handling response data with large percentages of X's. The first uses X-canceling MISR architecture that is based on deterministically observing scan cells, and the second is a hybrid approach that combines a simple X-masking scheme with the X-canceling MISR for further gains in test compression. The third and fourth techniques revolve around reiterative LFSR X-masking, which take advantage of LFSR-encoded masks that can be reused for multiple scan slices in novel ways.


Book Synopsis Testing for Delay Defects Utilizing Test Data Compression Techniques by : Richard Dean Putman

Download or read book Testing for Delay Defects Utilizing Test Data Compression Techniques written by Richard Dean Putman and published by . This book was released on 2008 with total page 164 pages. Available in PDF, EPUB and Kindle. Book excerpt: As technology shrinks new types of defects are being discovered and new fault models are being created for those defects. Transition delay and path delay fault models are two such models that have been created, but they still fall short in that they are unable to obtain a high test coverage of smaller delay defects; these defects can cause functional behavior to fail and also indicate potential reliability issues. The first part of this dissertation addresses these problems by presenting an enhanced timing-based delay fault testing technique that incorporates the use of standard delay ATPG, along with timing information gathered from standard static timing analysis. Utilizing delay fault patterns typically increases the test data volume by 3-5X when compared to stuck-at patterns. Combined with the increase in test data volume associated with the increase in gate count that typically accompanies the miniaturization of technology, this adds up to a very large increase in test data volume that directly affect test time and thus the manufacturing cost. The second part of this dissertation presents a technique for improving test compression and reducing test data volume by using multiple expansion ratios while determining the configuration of the scan chains for each of the expansion ratios using a dependency analysis procedure that accounts for structural dependencies as well as free variable dependencies to improve the probability of detecting faults. Finally, this dissertation addresses the problem of unknown values (X's) in the output response data corrupting the data and degrading the performance of the output response compactor and thus the overall amount of test compression. Four techniques are presented that focus on handling response data with large percentages of X's. The first uses X-canceling MISR architecture that is based on deterministically observing scan cells, and the second is a hybrid approach that combines a simple X-masking scheme with the X-canceling MISR for further gains in test compression. The third and fourth techniques revolve around reiterative LFSR X-masking, which take advantage of LFSR-encoded masks that can be reused for multiple scan slices in novel ways.


Nanometer Technology Designs

Nanometer Technology Designs

Author: Nisar Ahmed

Publisher: Springer Science & Business Media

Published: 2010-02-26

Total Pages: 288

ISBN-13: 0387757287

DOWNLOAD EBOOK

Traditional at-speed test methods cannot guarantee high quality test results as they face many new challenges. Supply noise effects on chip performance, high test pattern volume, small delay defect test pattern generation, high cost of test implementation and application, and utilizing low-cost testers are among these challenges. This book discusses these challenges in detail and proposes new techniques and methodologies to improve the overall quality of the transition fault test.


Book Synopsis Nanometer Technology Designs by : Nisar Ahmed

Download or read book Nanometer Technology Designs written by Nisar Ahmed and published by Springer Science & Business Media. This book was released on 2010-02-26 with total page 288 pages. Available in PDF, EPUB and Kindle. Book excerpt: Traditional at-speed test methods cannot guarantee high quality test results as they face many new challenges. Supply noise effects on chip performance, high test pattern volume, small delay defect test pattern generation, high cost of test implementation and application, and utilizing low-cost testers are among these challenges. This book discusses these challenges in detail and proposes new techniques and methodologies to improve the overall quality of the transition fault test.


Fault Simulation and Test Pattern Selectionfor Small Delay Defects Using Gpu

Fault Simulation and Test Pattern Selectionfor Small Delay Defects Using Gpu

Author: 許聖章

Publisher:

Published: 2013

Total Pages:

ISBN-13:

DOWNLOAD EBOOK


Book Synopsis Fault Simulation and Test Pattern Selectionfor Small Delay Defects Using Gpu by : 許聖章

Download or read book Fault Simulation and Test Pattern Selectionfor Small Delay Defects Using Gpu written by 許聖章 and published by . This book was released on 2013 with total page pages. Available in PDF, EPUB and Kindle. Book excerpt: