The Verilog PLI Handbook

The Verilog PLI Handbook

Author: Stuart Sutherland

Publisher: Springer Science & Business Media

Published: 2006-04-18

Total Pages: 789

ISBN-13: 0306476657

DOWNLOAD EBOOK

by Maq Mannan President and CEO, DSM Technologies Chairman of the IEEE 1364 Verilog Standards Group Past Chairman of Open Verilog International One of the major strengths of the Verilog language is the Programming Language Interface (PLI), which allows users and Verilog application developers to infinitely extend the capabilities of the Verilog language and the Verilog simulator. In fact, the overwhelming success of the Verilog language can be partly attributed to the exi- ence of its PLI. Using the PLI, add-on products, such as graphical waveform displays or pre and post simulation analysis tools, can be easily developed. These products can then be used with any Verilog simulator that supports the Verilog PLI. This ability to create thi- party add-on products for Verilog simulators has created new markets and provided the Verilog user base with multiple sources of software tools. Hardware design engineers can, and should, use the Verilog PLI to customize their Verilog simulation environment. A Company that designs graphics chips, for ex- ple, may wish to see the simulation results of a new design in some custom graphical display. The Verilog PLI makes it possible, and even trivial, to integrate custom so- ware, such as a graphical display program, into a Verilog simulator. The simulation results can then dynamically be displayed in the custom format during simulation. And, if the company uses Verilog simulators from multiple simulator vendors, this integrated graphical display will work with all the simulators.


Book Synopsis The Verilog PLI Handbook by : Stuart Sutherland

Download or read book The Verilog PLI Handbook written by Stuart Sutherland and published by Springer Science & Business Media. This book was released on 2006-04-18 with total page 789 pages. Available in PDF, EPUB and Kindle. Book excerpt: by Maq Mannan President and CEO, DSM Technologies Chairman of the IEEE 1364 Verilog Standards Group Past Chairman of Open Verilog International One of the major strengths of the Verilog language is the Programming Language Interface (PLI), which allows users and Verilog application developers to infinitely extend the capabilities of the Verilog language and the Verilog simulator. In fact, the overwhelming success of the Verilog language can be partly attributed to the exi- ence of its PLI. Using the PLI, add-on products, such as graphical waveform displays or pre and post simulation analysis tools, can be easily developed. These products can then be used with any Verilog simulator that supports the Verilog PLI. This ability to create thi- party add-on products for Verilog simulators has created new markets and provided the Verilog user base with multiple sources of software tools. Hardware design engineers can, and should, use the Verilog PLI to customize their Verilog simulation environment. A Company that designs graphics chips, for ex- ple, may wish to see the simulation results of a new design in some custom graphical display. The Verilog PLI makes it possible, and even trivial, to integrate custom so- ware, such as a graphical display program, into a Verilog simulator. The simulation results can then dynamically be displayed in the custom format during simulation. And, if the company uses Verilog simulators from multiple simulator vendors, this integrated graphical display will work with all the simulators.


The Verilog PLI Handbook

The Verilog PLI Handbook

Author: Stuart Sutherland

Publisher: Springer Science & Business Media

Published: 1999

Total Pages: 0

ISBN-13: 9780792384892

DOWNLOAD EBOOK

This book is designed to serve two specific needs: * A tutorial on how to write PLI applications * A reference book on the IEEE 1364-1998 Verilog PLI standard. Towards this end, this book has two distinct parts. Part One is written for new users of the PLI. These chapters explain how the PLI works and how it is used to solve basic design verification tasks. A large number of small but useful examples illustrate the concepts presented in each chapter. Part Two provides a comprehensive reference of the IEEE 1364 PLI standard. The Verilog PLI Handbook: A User's Guide and Comprehensive Reference on the Verilog Programming Language Interface will be of interest to hardware design engineers who use or are familiar with the Verilog Hardware Description Language.


Book Synopsis The Verilog PLI Handbook by : Stuart Sutherland

Download or read book The Verilog PLI Handbook written by Stuart Sutherland and published by Springer Science & Business Media. This book was released on 1999 with total page 0 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book is designed to serve two specific needs: * A tutorial on how to write PLI applications * A reference book on the IEEE 1364-1998 Verilog PLI standard. Towards this end, this book has two distinct parts. Part One is written for new users of the PLI. These chapters explain how the PLI works and how it is used to solve basic design verification tasks. A large number of small but useful examples illustrate the concepts presented in each chapter. Part Two provides a comprehensive reference of the IEEE 1364 PLI standard. The Verilog PLI Handbook: A User's Guide and Comprehensive Reference on the Verilog Programming Language Interface will be of interest to hardware design engineers who use or are familiar with the Verilog Hardware Description Language.


The Verilog Pli Handbook

The Verilog Pli Handbook

Author: Professor of Experimental Psychology Stuart Sutherland

Publisher: Springer

Published: 2014-01-15

Total Pages: 814

ISBN-13: 9781461550181

DOWNLOAD EBOOK

The Verilog Programming Language Interface is a powerful feature of the Verilog standard. Through this interface, a Verilog simulator can be customized to perform virtually any engineering task desired, such as adding custom design debug utilities, adding proprietary file read/write utilities, and interfacing bus functional C language models to a simulator. This book serves as both a user's guide for learning the Verilog PLI, and as a comprehensive reference manual on the Verilog PLI standard. Both the TF/ACC ("PLI 1.0") and the VPI ("PLI 2.0") generations of the PLI are presented, based on the IEEE 1364 Verilog standard. The second edition of this book adds detailed coverage of the many enhancements added in the latest IEEE 1364-2001 Verilog standard ("Verilog-2001").


Book Synopsis The Verilog Pli Handbook by : Professor of Experimental Psychology Stuart Sutherland

Download or read book The Verilog Pli Handbook written by Professor of Experimental Psychology Stuart Sutherland and published by Springer. This book was released on 2014-01-15 with total page 814 pages. Available in PDF, EPUB and Kindle. Book excerpt: The Verilog Programming Language Interface is a powerful feature of the Verilog standard. Through this interface, a Verilog simulator can be customized to perform virtually any engineering task desired, such as adding custom design debug utilities, adding proprietary file read/write utilities, and interfacing bus functional C language models to a simulator. This book serves as both a user's guide for learning the Verilog PLI, and as a comprehensive reference manual on the Verilog PLI standard. Both the TF/ACC ("PLI 1.0") and the VPI ("PLI 2.0") generations of the PLI are presented, based on the IEEE 1364 Verilog standard. The second edition of this book adds detailed coverage of the many enhancements added in the latest IEEE 1364-2001 Verilog standard ("Verilog-2001").


The Verilog Pli Handbook, 2E (With Cd)

The Verilog Pli Handbook, 2E (With Cd)

Author: Sutherland

Publisher:

Published: 2007-11-01

Total Pages: 808

ISBN-13: 9788181288127

DOWNLOAD EBOOK


Book Synopsis The Verilog Pli Handbook, 2E (With Cd) by : Sutherland

Download or read book The Verilog Pli Handbook, 2E (With Cd) written by Sutherland and published by . This book was released on 2007-11-01 with total page 808 pages. Available in PDF, EPUB and Kindle. Book excerpt:


Principles of Verilog PLI

Principles of Verilog PLI

Author: Swapnajit Mittra

Publisher: Springer Science & Business Media

Published: 2012-12-06

Total Pages: 397

ISBN-13: 1461551617

DOWNLOAD EBOOK

Principles of Verilog PLI is a `how to do' text on Verilog Programming Language Interface. The primary focus of the book is on how to use PLI for problem solving. Both PLI 1.0 and PLI 2.0 are covered. Particular emphasis has been put on adopting a generic step-by-step approach to create a fully functional PLI code. Numerous examples were carefully selected so that a variety of problems can be solved through ther use. A separate chapter on Bus Functional Model (BFM), one of the most widely used commercial applications of PLI, is included. Principles of Verilog PLI is written for the professional engineer who uses Verilog for ASIC design and verification. Principles of Verilog PLI will be also of interest to students who are learning Verilog.


Book Synopsis Principles of Verilog PLI by : Swapnajit Mittra

Download or read book Principles of Verilog PLI written by Swapnajit Mittra and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 397 pages. Available in PDF, EPUB and Kindle. Book excerpt: Principles of Verilog PLI is a `how to do' text on Verilog Programming Language Interface. The primary focus of the book is on how to use PLI for problem solving. Both PLI 1.0 and PLI 2.0 are covered. Particular emphasis has been put on adopting a generic step-by-step approach to create a fully functional PLI code. Numerous examples were carefully selected so that a variety of problems can be solved through ther use. A separate chapter on Bus Functional Model (BFM), one of the most widely used commercial applications of PLI, is included. Principles of Verilog PLI is written for the professional engineer who uses Verilog for ASIC design and verification. Principles of Verilog PLI will be also of interest to students who are learning Verilog.


Verilog PLI Quick Reference Guide: IEEE 1364-2001 Edition

Verilog PLI Quick Reference Guide: IEEE 1364-2001 Edition

Author: Sutherland HDL, Incorporated

Publisher:

Published: 2001

Total Pages: 114

ISBN-13: 9781930368026

DOWNLOAD EBOOK


Book Synopsis Verilog PLI Quick Reference Guide: IEEE 1364-2001 Edition by : Sutherland HDL, Incorporated

Download or read book Verilog PLI Quick Reference Guide: IEEE 1364-2001 Edition written by Sutherland HDL, Incorporated and published by . This book was released on 2001 with total page 114 pages. Available in PDF, EPUB and Kindle. Book excerpt:


Verilog PLI Quick Reference Guide

Verilog PLI Quick Reference Guide

Author: Stuart Sutherland

Publisher:

Published: 1995-11-01

Total Pages: 64

ISBN-13: 9781930368019

DOWNLOAD EBOOK


Book Synopsis Verilog PLI Quick Reference Guide by : Stuart Sutherland

Download or read book Verilog PLI Quick Reference Guide written by Stuart Sutherland and published by . This book was released on 1995-11-01 with total page 64 pages. Available in PDF, EPUB and Kindle. Book excerpt:


Verilog — 2001

Verilog — 2001

Author: Stuart Sutherland

Publisher: Springer Science & Business Media

Published: 2012-12-06

Total Pages: 142

ISBN-13: 1461517133

DOWNLOAD EBOOK

by Phil Moorby The Verilog Hardware Description Language has had an amazing impact on the mod em electronics industry, considering that the essential composition of the language was developed in a surprisingly short period of time, early in 1984. Since its introduc tion, Verilog has changed very little. Over time, users have requested many improve ments to meet new methodology needs. But, it is a complex and time consuming process to add features to a language without ambiguity, and maintaining consistency. A group of Verilog enthusiasts, the IEEE 1364 Verilog committee, have broken the Verilog feature doldrums. These individuals should be applauded. They invested the time and energy, often their personal time, to understand and resolve an extensive wish-list of language enhancements. They took on the task of choosing a feature set that would stand up to the scrutiny of the standardization process. I would like to per sonally thank this group. They have shown that it is possible to evolve Verilog, rather than having to completely start over with some revolutionary new language. The Verilog 1364-2001 standard provides many of the advanced building blocks that users have requested. The enhancements include key components for verification, abstract design, and other new methodology capabilities. As designers tackle advanced issues such as automated verification, system partitioning, etc., the Verilog standard will rise to meet the continuing challenge of electronics design.


Book Synopsis Verilog — 2001 by : Stuart Sutherland

Download or read book Verilog — 2001 written by Stuart Sutherland and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 142 pages. Available in PDF, EPUB and Kindle. Book excerpt: by Phil Moorby The Verilog Hardware Description Language has had an amazing impact on the mod em electronics industry, considering that the essential composition of the language was developed in a surprisingly short period of time, early in 1984. Since its introduc tion, Verilog has changed very little. Over time, users have requested many improve ments to meet new methodology needs. But, it is a complex and time consuming process to add features to a language without ambiguity, and maintaining consistency. A group of Verilog enthusiasts, the IEEE 1364 Verilog committee, have broken the Verilog feature doldrums. These individuals should be applauded. They invested the time and energy, often their personal time, to understand and resolve an extensive wish-list of language enhancements. They took on the task of choosing a feature set that would stand up to the scrutiny of the standardization process. I would like to per sonally thank this group. They have shown that it is possible to evolve Verilog, rather than having to completely start over with some revolutionary new language. The Verilog 1364-2001 standard provides many of the advanced building blocks that users have requested. The enhancements include key components for verification, abstract design, and other new methodology capabilities. As designers tackle advanced issues such as automated verification, system partitioning, etc., the Verilog standard will rise to meet the continuing challenge of electronics design.


Assertion-Based Design

Assertion-Based Design

Author: Harry D. Foster

Publisher: Springer Science & Business Media

Published: 2012-12-06

Total Pages: 377

ISBN-13: 1441992286

DOWNLOAD EBOOK

There is much excitement in the design and verification community about assertion-based design. The question is, who should study assertion-based design? The emphatic answer is, both design and verification engineers. What may be unintuitive to many design engineers is that adding assertions to RTL code will actually reduce design time, while better documenting design intent. Every design engineer should read this book! Design engineers that add assertions to their design will not only reduce the time needed to complete a design, they will also reduce the number of interruptions from verification engineers to answer questions about design intent and to address verification suite mistakes. With design assertions in place, the majority of the interruptions from verification engineers will be related to actual design problems and the error feedback provided will be more useful to help identify design flaws. A design engineer who does not add assertions to the RTL code will spend more time with verification engineers explaining the design functionality and intended interface requirements, knowledge that is needed by the verification engineer to complete the job of testing the design.


Book Synopsis Assertion-Based Design by : Harry D. Foster

Download or read book Assertion-Based Design written by Harry D. Foster and published by Springer Science & Business Media. This book was released on 2012-12-06 with total page 377 pages. Available in PDF, EPUB and Kindle. Book excerpt: There is much excitement in the design and verification community about assertion-based design. The question is, who should study assertion-based design? The emphatic answer is, both design and verification engineers. What may be unintuitive to many design engineers is that adding assertions to RTL code will actually reduce design time, while better documenting design intent. Every design engineer should read this book! Design engineers that add assertions to their design will not only reduce the time needed to complete a design, they will also reduce the number of interruptions from verification engineers to answer questions about design intent and to address verification suite mistakes. With design assertions in place, the majority of the interruptions from verification engineers will be related to actual design problems and the error feedback provided will be more useful to help identify design flaws. A design engineer who does not add assertions to the RTL code will spend more time with verification engineers explaining the design functionality and intended interface requirements, knowledge that is needed by the verification engineer to complete the job of testing the design.


Verilog and SystemVerilog Gotchas

Verilog and SystemVerilog Gotchas

Author: Stuart Sutherland

Publisher: Springer Science & Business Media

Published: 2010-04-30

Total Pages: 230

ISBN-13: 0387717153

DOWNLOAD EBOOK

This book will help engineers write better Verilog/SystemVerilog design and verification code as well as deliver digital designs to market more quickly. It shows over 100 common coding mistakes that can be made with the Verilog and SystemVerilog languages. Each example explains in detail the symptoms of the error, the languages rules that cover the error, and the correct coding style to avoid the error. The book helps digital design and verification engineers to recognize, and avoid, these common coding mistakes. Many of these errors are very subtle, and can potentially cost hours or days of lost engineering time trying to find and debug them.


Book Synopsis Verilog and SystemVerilog Gotchas by : Stuart Sutherland

Download or read book Verilog and SystemVerilog Gotchas written by Stuart Sutherland and published by Springer Science & Business Media. This book was released on 2010-04-30 with total page 230 pages. Available in PDF, EPUB and Kindle. Book excerpt: This book will help engineers write better Verilog/SystemVerilog design and verification code as well as deliver digital designs to market more quickly. It shows over 100 common coding mistakes that can be made with the Verilog and SystemVerilog languages. Each example explains in detail the symptoms of the error, the languages rules that cover the error, and the correct coding style to avoid the error. The book helps digital design and verification engineers to recognize, and avoid, these common coding mistakes. Many of these errors are very subtle, and can potentially cost hours or days of lost engineering time trying to find and debug them.